王朝网络
分享
 
 
 

FPGA嵌入式项目开发实战(含光盘1张)(嵌入式开发专家)(附赠CD光盘1张)

王朝导购·作者佚名
 
FPGA嵌入式项目开发实战(含光盘1张)(嵌入式开发专家)(附赠CD光盘1张)  点此进入淘宝搜索页搜索
  特别声明:本站仅为商品信息简介,并不出售商品,您可点击文中链接进入淘宝网搜索页搜索该商品,有任何问题请与具体淘宝商家联系。
  参考价格: 点此进入淘宝搜索页搜索
  分类: 图书,计算机与互联网,硬件/嵌入式开发,微机/cpu,
  品牌: 刘福奇

基本信息·出版社:电子工业出版社

·页码:463 页

·出版日期:2009年

·ISBN:7121082209/9787121082207

·条形码:9787121082207

·包装版本:1版

·装帧:平装

·开本:16

·正文语种:中文

·丛书名:嵌入式开发专家

·附带品描述:附赠CD光盘1张

产品信息有问题吗?请帮我们更新产品信息。

内容简介《FPGA嵌入式项目开发实战》从实用的角度出发,通过大量工程实例,详细介绍了FPGA项目程序设计的方法与技巧。全书共分为4篇25章,第1篇为FPGA基础知识篇,简要介绍了FPGA硬件结构、VHDL语言编程基础,以及FPGA常用开发工具等;第2篇为FPGA 数字系统程序实例,通过矩阵键盘扫描接口设计、PS/2键盘接口设计、点阵发光管扫描接口设计、VGA彩条信号发生器、6层电梯控制器、两种分频器设计、波形信号发生器的设计、交通灯控制的设计、常见的两种数字滤波器的设计、快速傅里叶变换FFT的设计、数字式频率合成器DSS的设计、数字电压计的设计等实例,详细介绍了FPGA数字系统项目开发的技术和技巧;第3篇为FPGA通信系统程序实例,包括串行通信接口UART设计、ASK编码器与译码器设计、FSK编码器与译码器设计、CMI编码器与译码器设计、曼彻斯特编码器与译码器设计、HDB3编码器与译码器设计等实例;第4篇为项目程序实例,通过Nios II定时中断器的应用、基于Nios II的I2C总线传输应用、基于Nios II的SPI总线的应用、基于Nios II的uCOS应用等典型实例,介绍了FPGA汽车电子程序的特点和开发技术。《FPGA嵌入式项目开发实战》语言简洁、结构清晰,以实际工程为背景,实例典型、丰富,全部来自于实践并且调试通过,代表性和指导性强,利于读者举一反三,是作者多年开发经验的推广与总结。《FPGA嵌入式项目开发实战》配有光盘1张,包含书中实例的硬件原理图和程序源代码,方便读者学习和使用。《FPGA嵌入式项目开发实战》适合计算机、自动化、电子及硬件等相关专业的大学生,以及从事FPGA开发的科研人员使用。

编辑推荐《FPGA嵌入式项目开发实战》为作者多年开发经验的推广与总结、详述FPGA数字系统程序开发的实战技巧、阐释FPGA通信系统程序开发的实战技巧、剖析Nios II项目程序开发的实战技巧。

《FPGA嵌入式项目开发实战》特色

全书从实用的角度出发,通过大量工程实例,详细介绍了FPGA项目程序设计的方法与技巧。主要包括如下实例:

矩陈键盘扫描接口设计

点阵发光管扫描接口设计

6层电梯控制器设计

波形信号发生器设计

快速傅里叶变换FFT设计

串行通信接口UART设计

CMI码器与译码器设计

HDB3编码器与译码器设计

基于NiosⅡ的uCOS应用

基于NiosⅡ的12C总线传输应用

PS/2键盘接口设计

VGA彩条信号发生器设计

分频器设计

数字滤波器设计

数字式频率合成器DSS设计

ASK编码器与译码器设计

曼彻斯特编码器与译码器设计

Nios Ⅱ定时中断器的应用

基于NiosⅡ的SPI总线的应用

目录

第1篇 FPGA基础知识篇

第1章 FPGA硬件结构知识

1.1 CPLD/FPGA概述

1.1.1 CPLD/FPGA的特点

1.1.2 CPLD/FPGA的发展方向

1.1.3 CPLD/FPGA的应用领域

1.2 FPGA体系结构

1.2.1 FPGA基本结构

1.2.2 FPGA的结构特点

1.2.3 FPGA的编程工艺

1.3 FPGA常用芯片与选用

1.3.1 FPGA常用芯片

1.3.2 FPGA器件的选用

1.4 本章小结

第2章 VHDL语言编程基础

2.1 FPGA系统的表示方法

2.2 VHDL语言的特点

2.3 VHDL语言结构与要素

2.3.1 实体说明

2.3.2 构造体

2.3.3 VHDL语言要素

2.3.4 VHDL顺序语句与并发语句

2.3.5 描述方式

2.4 组合逻辑电路的VHDL实现

2.4.1 简单门电路

2.4.2 译码器、编码器和选择器

2.4.3 加法器、乘法器和除法器

2.4.4 三态门和总线缓冲器

2.5 时序逻辑电路的VHDL实现

2.5.1 锁存器、触发器

2.5.2 寄存器

2.5.3 计数器

2.6 状态机的VHDL实现

2.6.1 状态机的结构和功能

2.6.2 状态机的VHDL模型

2.7 存储器的VHDL实现

2.7.1 ROM和RAM

2.7.2 FIFO

2.8 本章小结

第3章 FPGA常用开发工具

3.1 集成开发工具

MAX+plusⅡ

3.1.1 功能与菜单说明

3.1.2 MAX+plusⅡ设计过程

3.1.3 MAX+plusⅡ综合设计选择项

3.2 硬件开发工具Quartus II

3.2.1 Quartus II简介

3.2.2 Quartus II设计流程

3.2.3 Quartus II设计方法

3.2.4 Quartus II功能详解

3.2.5 时序约束与分析

3.2.6 设计优化

3.2.7 SignalTap II

3.3 本章小结

第2篇 FPGA数字系统程序实例

第4章 矩阵键盘扫描接口设计

4.1 实例内容说明

4.2 设计思路与原理

4.3 程序设计与验证

4.3.1 创建Quartus II工程

4.3.2 VHDL代码设计

4.3.3 矩阵键盘扫描的验证

4.4 实例总结

第5章 PS/2键盘接口设计

5.1 实例内容说明

5.2 PS/2键盘接口标准

5.2.1 PS/2接口介绍

5.2.2 PS/2接口引脚

5.3 设计思路与原理

5.4 程序设计与验证

5.4.1 创建Quartus II环境

5.4.2 VHDL代码设计

5.4.3 PS/2键盘接口设计的验证

5.5 实例总结

第6章 点阵发光管扫描接口设计

6.1 实例内容说明

6.2 设计思路与原理

6.3 程序设计与验证

6.3.1 创建Quartus II工程

6.3.2 创建VHDL文件

6.3.3 程序验证

6.4 实例总结

第7章 VGA彩条信号发生器

7.1 实例内容说明

7.2 设计思路与原理

7.3 程序设计与验证

7.3.1 创建Quartus II工程

7.3.2 创建VHDL文件

7.3.3 程序验证

7.4 实例总结

第8章 6层电梯控制器

8.1 实例内容说明

8.2 设计思路与原理

8.3 程序设计与验证

8.3.1 创建Quartus II工程

8.3.2 创建VHDL文件

8.3.3 程序验证

8.4 实例总结

第9章 两种分频器设计

9.1 实例内容说明

9.2 硬件电路分析

9.2.1 半整数分频器

9.2.2 偶数与奇数分频器

9.3 程序设计与仿真

9.3.1 VHDL程序

9.3.2 时序仿真

9.4 实例总结

第10章 波形信号发生器的设计

10.1 实例内容说明

10.2 设计思路与原理

10.3 程序代码与注释

10.4 实例总结

第11章 交通灯控制的设计

11.1 实例内容说明

11.2 设计思路与原理

11.3 程序代码与注释

11.4 实例总结

第12章 常见的两种数字滤波器的设计

12.1 数字滤波器概述

12.2 FIR数字滤波器的设计

12.2.1 FIR数字滤波器

设计原理

12.2.2 直接实现形式的

FIR滤波器设计

12.2.3 直接FIR滤波器设计的改进

12.2.4 用查表法实现FIR数字滤波器

12.3 IIR数字滤波器的设计

12.3.1 设计思路与原理

12.3.2 程序实现与注释

12.4 实例总结

第13章 快速傅里叶变换FFT的设计

13.1 FFT的算法特点

13.2 旋转因子算法

13.2.1 设计思路与原理

13.2.2 VHDL代码实现

13.3 蝶形处理器

13.3.1 设计思路与原理

13.3.2 VHDL代码实现

13.4 实例总结

第14章 数字式频率合成器DSS的设计

14.1 DDS特点概述

14.2 设计思路与原理

14.3 程序实现与仿真

14.3.1 生成ROM的参数

14.3.2 DDS的VHDL程序代码

14.3.3 仿真结果

14.4 实例总结

第15章 数字电压计的设计

15.1 数字电压计概述

15.2 设计思路与原理

15.3 程序实现与注释

15.4 实例总结

第3篇 FPGA通信系统程序实例

第16章 串行通信接口UART设计

16.1 UART协议基础

16.2 UART模块程序设计

16.2.1 时钟分频模块

16.2.2 异步接收模块

16.2.3 异步发送模块

16.3 实例总结

第17章 ASK编码器与译码器设计

17.1 实例内容说明

17.2 伪随机序列产生器

17.2.1 m序列的产生

17.2.2 m序列的VHDL语言设计

17.2.3 工程编译

17.2.4 m序列的仿真

17.3 ASK编码器设计

17.3.1 ASK编码器设计思路

17.3.2 ASK编码器代码设计

17.3.3 ASK编码器仿真

17.4 ASK译码器设计

17.4.1 ASK译码器设计思路

17.4.2 ASK译码器代码设计

17.4.3 ASK解制器仿真

17.5 实例总结

第18章 FSK编码器与译码器设计

18.1 实例内容说明

18.2 FSK编码器设计

18.2.1 FSK编码器设计思路

18.2.2 FSK编码器代码设计

18.2.3 FSK编码器仿真

18.3 FSK译码器设计

18.3.1 FSK译码器设计思路

18.3.2 FSK译码器代码设计

18.3.3 FSK译码器仿真

18.4 实例总结

第19章 CMI编码器与译码器设计

19.1 实例内容说明

19.2 CMI编码器设计

19.2.1 传号反转码(CMI)编码原理

19.2.2 CMI编码器设计思路

19.2.3 CMI编码器VHDL代码设计

19.2.4 CMI编码器仿真

19.3 CMI调制器设计

19.3.1 CMI调制器设计思路

19.3.2 ASK调制器代码设计

19.3.3 CMI译码器仿真

19.4 实例总结

第20章 曼彻斯特编码器与译码器设计

20.1 实例内容说明

20.2 曼彻斯特编码器设计

20.2.1 曼彻斯特编码器设计思路

20.2.2 曼彻斯特编码器代码设计

20.2.3 曼彻斯特编码仿真

20.3 曼彻斯特译码器设计

20.3.1 曼彻斯特译码器设计思路

20.3.2 曼彻斯特译码器代码设计

20.3.3 曼彻斯特译码器仿真

20.4 实例总结

第21章 HDB3编码器与译码器设计

21.1 实例内容说明

21.2 HDB3编码器设计

21.2.1 HDB3码编码原理

21.2.2 HDB3编码器设计思路

21.2.3 HDB3编码器插“V”模块代码设计与仿真

21.2.4 HDB3编码器插“B”模块代码设计与仿真

21.2.5 HDB3编码器的双单极转换模块的设计与仿真

21.3 HDB3译码器设计

21.3.1 HDB3译码器设计思路

21.3.2 HDB3译码器代码设计

21.3.3 HDB3译码器仿真

21.4 实例总结

第4篇 Nios II项目程序实例

第22章 Nios II定时中断器的应用

22.1 实例内容说明

22.2 设计思路与原理

22.3 硬件设计

22.4 软件设计与程序代码

22.5 实例总结

第23章 基于Nios II的I2C总线传输应用

23.1 实例内容说明

23.2 设计思路与原理

23.3 I2C总线介绍

23.3.1 I2C总线特点

23.3.2 I2C总线工作原理

23.4 AT24C02芯片应用介绍

23.4.1 AT24C02概述

23.4.2 AT24C02读写时序

23.5 SOPC系统的创建

23.6 软件设计与程序代码

23.7 实例总结

第24章 基于Nios II的SPI总线的应用

24.1 实例内容说明

24.2 设计思路与原理

24.3 SPI总线介绍

24.4 AD7476介绍

24.5 SOPC系统的创建

24.6 软件设计与程序代码

24.7 实例总结

第25章 基于Nios II的uCOS应用

25.1 实例内容说明

25.2 设计思路与原理

25.3 SOPC系统的创建

25.4 软件设计与程序代码

25.5 实例总结

……[看更多目录]

序言FPGA是英文Field-Programmable Gate Array的缩写,即现场可编程门阵列,它是在PAL、GAL、CPLD等可编程器件的基础上进一步发展的产物。它是作为专用集成电路(ASIC)领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点。FPGA的基本特点如下:

采用FPGA设计ASIC电路,用户不需要投片生产,就能得到适合的芯片。

FPGA可作为其他全定制或半定制ASIC电路的中试样片。

FPGA内部有丰富的触发器和I/O引脚。

FPGA是ASIC电路中设计周期短、开发费用低、风险小的器件之一。

FPGA采用高速CHMOS工艺,功耗低,可以与CMOS、TTL电平兼容。

可以说,FPGA芯片是小批量系统提高系统集成度、可靠性的很好选择之一。

目前FPGA在数字系统、通信系统、网络开发及汽车电子方面得到了深入应用。目前市场上都是一些介绍基础原理的书,缺少有大量实例导航的工程实践书,本书的出版正好可以填补这种空白。

全书从实用的角度出发,通过大量工程实例,详细介绍了FPGA项目程序设计的方法与技巧。全书共分4篇25章,第1篇为基础知识篇,简要介绍了FPGA硬件结构、 VHDL编程语言基础,以及FPGA常用开发工具,引导读者入门;第2篇为FPGA 数字系统程序实例,通过12个设计实例,详细介绍了FPGA数字系统项目开发的技术和技巧;第3篇为FPGA通信系统程序实例,安排了6个实用通信程序;第4篇为项目程序实例,通过4个典型实例,介绍了FPGA汽车电子程序的特点和开发技术。

与同类型书相比,本书具有下面的特色:

本书提供了22个丰富的FPGA程序设计实例,范围涉及数字系统、通信系统、Nios II项目3大热门领域。实例典型,全部调试通过,易学易懂。

全书对FPGA项目开发的步骤和设计思路进行详细讲解,穿插介绍开发经验技巧与注意事项,对程序代码进行详细注释,利于读者理解和巩固知识点,可以举一反三。

光盘中包含了丰富的实例硬件原理图和程序源代码,读者稍加修改,便可应用于实际工作中或者完成相关的课题(毕业设计),物超所值。

本书适合计算机、自动化、电子及硬件等相关专业的大学生,以及从事FPGA应用开发的科研人员使用。

本书主要由刘福奇编写。另外,唐清善、邱宝良、周克足、刘斌、李亚捷、李永怀、李宁宇、刘伟捷、黄小欢、严剑忠、黄小宽、李彦超、付军鹏、张广安、贾素龙、王艳波、金平、徐春林、谢正义、郑贞平、张小红等在资料收集、整理和技术支持方面做了大量的工作,在此一并向他们表示感谢!

由于时间仓促,再加之作者的水平有限,书中难免存在一些不足之处,欢迎广大读者批评和指正。

文摘插图:

FPGA嵌入式项目开发实战(含光盘1张)(嵌入式开发专家)(附赠CD光盘1张)

1.自上而下与自下而上的设计方法比较

OtlartasⅡ软件同时支持自上而下和自下而上的编译流程。在自上而下的编译过程中,一个设计人员或者工程负责人在软件中对整个设计进行编译。不同的设计人员或者IP提供者设计并验证设计的不同部分,工程负责人在设计实体完成后将其加入到工程中。工程负责人从整体上编译并优化顶层工程。在设计中完成的部分得到适配结果,当设计的其他部分改动时,其性能保持不变。

在自下而上的设计流程中,每个设计人员在各自的工程中对其设计进行优化后,将每一个底层工程集成到一个顶层工程中。渐进式编译提供导出和导入功能来实现这种设计方法。

作为底层模块设计人员,你可以针对设计,导出优化后的网表和~组分配(例如LogicLock区域)。然后,工程负责人将每一个设计模块作为设计分区导入到顶层工程中。在这种情况下,工程负责人必须指导底层模块设计人员,保证每~分区使用适当的器件资源。

 
 
免责声明:本文为网络用户发布,其观点仅代表作者个人观点,与本站无关,本站仅提供信息存储服务。文中陈述内容未经本站证实,其真实性、完整性、及时性本站不作任何保证或承诺,请读者仅作参考,并请自行核实相关内容。
2023年上半年GDP全球前十五强
 百态   2023-10-24
美众议院议长启动对拜登的弹劾调查
 百态   2023-09-13
上海、济南、武汉等多地出现不明坠落物
 探索   2023-09-06
印度或要将国名改为“巴拉特”
 百态   2023-09-06
男子为女友送行,买票不登机被捕
 百态   2023-08-20
手机地震预警功能怎么开?
 干货   2023-08-06
女子4年卖2套房花700多万做美容:不但没变美脸,面部还出现变形
 百态   2023-08-04
住户一楼被水淹 还冲来8头猪
 百态   2023-07-31
女子体内爬出大量瓜子状活虫
 百态   2023-07-25
地球连续35年收到神秘规律性信号,网友:不要回答!
 探索   2023-07-21
全球镓价格本周大涨27%
 探索   2023-07-09
钱都流向了那些不缺钱的人,苦都留给了能吃苦的人
 探索   2023-07-02
倩女手游刀客魅者强控制(强混乱强眩晕强睡眠)和对应控制抗性的关系
 百态   2020-08-20
美国5月9日最新疫情:美国确诊人数突破131万
 百态   2020-05-09
荷兰政府宣布将集体辞职
 干货   2020-04-30
倩女幽魂手游师徒任务情义春秋猜成语答案逍遥观:鹏程万里
 干货   2019-11-12
倩女幽魂手游师徒任务情义春秋猜成语答案神机营:射石饮羽
 干货   2019-11-12
倩女幽魂手游师徒任务情义春秋猜成语答案昆仑山:拔刀相助
 干货   2019-11-12
倩女幽魂手游师徒任务情义春秋猜成语答案天工阁:鬼斧神工
 干货   2019-11-12
倩女幽魂手游师徒任务情义春秋猜成语答案丝路古道:单枪匹马
 干货   2019-11-12
倩女幽魂手游师徒任务情义春秋猜成语答案镇郊荒野:与虎谋皮
 干货   2019-11-12
倩女幽魂手游师徒任务情义春秋猜成语答案镇郊荒野:李代桃僵
 干货   2019-11-12
倩女幽魂手游师徒任务情义春秋猜成语答案镇郊荒野:指鹿为马
 干货   2019-11-12
倩女幽魂手游师徒任务情义春秋猜成语答案金陵:小鸟依人
 干货   2019-11-12
倩女幽魂手游师徒任务情义春秋猜成语答案金陵:千金买邻
 干货   2019-11-12
 
>>返回首页<<
推荐阅读
 
 
频道精选
 
更多商品
Visual C++串口通信开发入门与编程实践(C/C++开发专家)
快学Flash动画制作(含DVD光盘1张)(速学速通)(附DVD光盘1张)
电子系统设计与实践(第2版)(普通高等教育“十一五”国家级规划教材,高等学校电工电子实践系列)
Dreamweaver CS4+ASP经典案例设计与实现
“面向对象”项目开发经验大成:基于.NET实现
运动水壶(赠品)
君空(日本畅销手机小说《恋空》姊妹篇)
香初上舞
保护眼睛预防近视(初中版)
保护眼睛预防近视(小学版)
 
静静地坐在废墟上,四周的荒凉一望无际,忽然觉得,凄凉也很美
© 2005- 王朝网络 版权所有